[1]Shivakumar P,Kistler M,Keckler S,et al.Modeling the effect of technology trends on the soft error rate of combinatorial logic[C]∥Proc of the International Symposium of Dependable Systems and Networks (DSN’02), 2002:389398.
[2]Mukherjee S S,Weaver C,Emer J,et al.A systematic methodology to compute the architectural vulnerability factors for a high performance microprocessor[C]∥Proc of the International Symposium on Microarchitecture (MICRO’03), 2003:2940.
[3]Rotenberg E.ARSMT:A microarchitectural approach to fault tolerance in microprocessors[C]∥Proc of the FaultTolerant Computing Systems (FTCS’99), 1999:8491.
[4]Austin T. DIVA:A reliable substrate for deep submicron microarchitecture[C]∥Proc of the International Symposium on Microarchitecture (MICRO’99), 1999:196207.
[5]Vijaykumar T, Pomeranz I, Cheng K. Transientfault recovery using simultaneous multithreading[C]∥Proc of the International Symposium on Computer Architecture (ISCA’02), 2002:8798.
[6]Pan Songjun, Hu Yu, Li Xiaowei. IVF:Characterizing the vulnerability of microprocessor structures to intermittent faults[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2012, 20(5):777790.
[7]Fu X, Li T, Fortes J. SimSODA:A unified framework for architectural level software reliability analysis[C]∥Proc of Workshop on Modeling, Benchmarking and Simulation, 2006:1.
[8]Saggese G P, Wang N, Kalbarczyk Z, et al. An experimental study of soft errors in microprocessors[J]. IEEE Micro, 2005, 25(6):3039.
[9]Walcott K R, Humphreys G, Gurumurthi S. Dynamic prediction of architectural vulnerability from microarchitectural state[C]∥Proc of the International Conference of Computer Architecture (ISCA’07), 2007:516527.
[10]Li X D, Adve S V, Bose P, et al. Online estimation of architectural vulnerability factor for soft errors[C]∥Proc of the International Conference of Computer Architecture (ISCA’08), 2008:341352.
[11]Fahs B, Bose S, Crum M, et al. Performance characterization of a hardware mechanism for dynamic optimization[C]∥Proc of the International Symposium on Microarchitecture (MICRO’01), 2001:1627.
[12]Desikan R, Burger D, Keckler S, et al. Simalpha:A validated, executiondriven Alpha 21264 simulator[R]. Technical Report TR0123, Austin:Department of Computer Sciences, University of Texas at Austin, 2001.
[13]Sherwood T, Perelman E, Hamerly G, et al. Automatically characterizing large scale program behavior[C]∥Proc of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’02), 2002:4557.
[14]Soundararajan N, Parashar A, Sivasubramaniam A. Mechanisms for bounding vulnerabilities of processor structures[C]∥Proc of the International Symposium on Computer Architecture (ISCA’07), 2007:506515. |