[1] |
Karl R. Microprocessor trend data [DB/OL].[2022-08-16]. https://github.com/karlrupp/microprocessor-trend-data.
|
[2] |
Kahng A B.Machine learning applications in physical design:Recent results and directions [C]∥Proc of the 2018 International Symposium on Physical Design,2018:68-73.
|
[3] |
Netto R,Fabre S,Fontana T A,et al.How deep learning can drive physical synthesis towards more predictable legalization [C]∥Proc of the 2019 International Symposium on Physical Design,2019:3-10.
|
[4] |
Chan W-T J,Du Y,Kahng A B,et al.BEOL stack-aware routability prediction from placement using data mining techniques [C]∥Proc of 2016 IEEE 34th International Conference on Computer Design,2016:41-48.
|
[5] |
Zhou Q,Wang X Y,Qi Z D,et al.An accurate detailed routing routability prediction model in placement [C]∥Proc of 2015 6th Asia Symposium on Quality Electronic Design,2015:119-122.
|
[6] |
Kahng A B,Mallappa U, Saul L,et al.“Unobserved corner” prediction:Reducing timing analysis effort for faster design convergence in advanced node design [C]∥Proc of 2019 Design, Automation & Test in Europe Conference & Exhibition,2019:168-173.
|
[7] |
Kahng A B,Kang S,Lee H,et al.Learning-based approximation of interconnect delay and slew in signoff timing tools [C]∥Proc of 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction,2013:1-8.
|
[8] |
Bian S, Shintani M,Hiromoto M,et al.LSTA:Learning-based static timing analysis for high-dimensional correlated on-chip variations [C]∥Proc of the 54th Annual Design Automation Conference,2017:Article No.:66.
|
[9] |
张书政,赵振宇,冯超超.机器学习驱动的多Corner STA 加速方法[J].计算机与数字工程,2019,47(11):2714-2717.
|
|
Zhang Shu-zheng, Zhao Zhen-yu, Feng Chao-chao.Multi- corner STA acceleration based on machine learning [J].Computer & Digital Engineering,2019,47(11):2714-2717.
|
[10] |
Onaissi S,Najm F N.A linear-time approach for static timing analysis covering all process corners [J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2008,27(7):1291-1304.
|
[11] |
陈春章,艾霞,王国雄.数字集成电路物理设计[M].北京:科学出版社,2008.
|
[12] |
Arnold W,Dusa M,Finders J.Manufacturing challenges in double patterning lithography [C]∥Proc of 2006 IEEE International Symposium on Semiconductor Manufacturing,2006:283-286.
|
[13] |
Weinberg E K. Correlating process corners and temperature in deep nano-scale CMOS [EB/OL].[2022-08-16]. http://venividiwiki.ee.virginia.edu/mediawki/images/9/9f/Weinberg_ECE7502S15_ProjectPaper.pdf.
|
[14] |
Chowdhury T, Vaki A, Latibari B S, et al.RAPTA:A hierarchical representation learning solution for real-time prediction of path-based static timing analysis [C]∥Proc of the Great Lakes Symposium on Very Large Scale Integration,2022:493-500.
|
[15] |
Cover T M,Thomas J A.Elements of information theory [M]. 2nd ed. New York:John Wiley & Sons, Inc., 2003.
|
[16] |
Huang P C,Ma C Y,Wu Z Y.Fast dynamic IR-drop prediction using machine learning in bulk FinFET technologies [J].Symmetry,2021,13(10):18071.
|
[17] |
Fang Y C,Lin H Y,Sui M Y,et al. Machine-learning-based dynamic IR drop prediction for ECO [C]∥Proc of 2018 IEEE/ACM International Conference on Computer-Aided Design,2018:1-7.
|