• 中国计算机学会会刊
  • 中国科技核心期刊
  • 中文核心期刊

计算机工程与科学 ›› 2024, Vol. 46 ›› Issue (03): 395-399.

• 高性能计算 • 上一篇    下一篇

未知工艺角下时序违反的机器学习预测

黄鹏程1,2,冯超超1,2,马驰远1,2   

  1. (1.国防科技大学计算机学院,湖南 长沙 410073;2.先进微处理器芯片与系统重点实验室,湖南 长沙 410073)
  • 收稿日期:2022-10-13 修回日期:2023-03-24 接受日期:2024-03-25 出版日期:2024-03-25 发布日期:2024-03-15
  • 基金资助:
    国家自然科学基金(61902408);湖南省自然科学基金(2023JJ30637);湖南省科技创新计划(2023RC3014);青年科技人才支持计划(ZD0102088845)

Machine learning prediction of timing violation under unknown corners

HUANG Peng-cheng1,2,FENG Chao-chao1,2,MA Chi-yuan1,2   

  1. (1.College of Computer Science and Technology,National University of Defense Technology,Changsha 410073;
    2.Key Laboratory of Advanced Microprocessor Chips and Systems,Changsha 410073,China)
  • Received:2022-10-13 Revised:2023-03-24 Accepted:2024-03-25 Online:2024-03-25 Published:2024-03-15

摘要: 集成电路设计复杂性的增长以及工艺尺寸的持续缩减给静态时序分析以及设计周期带来了新的严峻挑战。为了提升静态时序分析效率、缩短设计周期,充分考虑FinFET工艺特性以及静态时序分析原理,提出了未知工艺角下时序违反的机器学习预测方法,实现了基于部分工艺角的时序特性来预测另外一部分工艺角的时序特性的目标。基于某工业设计进行实验,结果表明,提出的方法利用5个工艺角时序预测另外31个工艺角时序,可达到小于2 ps的平均绝对误差,远远优于传统方法所需的21个工艺角,显著改善了预测精度和减少了静态时序分析工作量。

关键词: 机器学习, 工艺角, 静态时序分析, FinFET

Abstract: The increase of IC design complexity and the continuous reduction of process feature size bring new severe challenges to static timing analysis (STA) and chip design cycle. In order to improve the efficiency of STA and shorten the chip design cycle, this paper fully considers the FinFET process characteristics and the principle of STA, and predicts the timing characteristics of another part of corners by introducing machine learning methods based on the timing characteristics of some corners. The experiment is based on an industrial design, and the results show that the proposed method uses 5 corners to predict the timing of other 31 corners, which can achieve an average absolute error of less than 2 ps, far better than the 21 process angles required by traditional methods. Thus, the proposed method significantly improves the prediction accuracy and significantly reduces the workload of static time series analysis.

Key words: machine learning, corner, static timing analysis (STA), FinFET